constructing BU_n

#todo/untagged #todo/add-references